Difference between revisions of "DAC"

From Openresearch
Jump to navigation Jump to search
(Added page provenance(#264) and contribution type(#271))
(Added WikiCfpSeries id (#256))
Line 9: Line 9:
 
|pageEditor=Veronika Bauer
 
|pageEditor=Veronika Bauer
 
|contributionType=1
 
|contributionType=1
 +
|WikiCfpSeries=634
 
}}
 
}}

Revision as of 22:09, 7 April 2022

DAC Download DACUpload
DAC
Design Automation Conference
Categories: Microelectronics
WikiCFP Series: 634
CORE Rank (2017): A
Avg. acceptance rate: 22.4
Avg. acceptance rate (last 5 years): 22.1
Table of Contents

Design Automation Conference (DAC) has an average acceptance rate of 22.4% (last 5 years 22.1%).

Events

There are 6 events of the series DAC known to this wiki: DAC 2008, DAC 2009, DAC 2018, DAC 2019, DAC 2020, DAC 2021

 OrdinalYearFromToCityCountrypresenceHomepageTibKatIdGNDdblpWikiCFPWikidataGeneral chairPC chairAcceptance rate
DAC 2021582021Jul 11Jul 15San FranciscoUSAhttps://www.dac.com/Harry Foster
DAC 20202020Jul 19Jul 23San FranciscoOnlinehttps://www.dac.comZhou Li23.2
DAC 20192019Jun 2Jun 6Las VegasUSAhttps://www.dac.com/Robert Aitken
Zhuo Li
Harry Foster18.9
DAC 20182018Jun 24Jun 29San FranciscoUSAhttps://dac.com/content/55th-dacX. Sharon HuValeria Bertacco24.3
DAC 2009462009Jul 26Jul 31San FranciscoUSAhttp://www.dac.com3548
DAC 2008452008Jun 9Jun 13AnaheimUSAhttp://www.dac.com1208Limor FixLeon Stok
Patrick Groeneveld
23.0


Submission/Acceptance

Loading...

Locations

Loading map...